M. Nicolaidis, Design for soft error mitigation Device and Materials Reliability, IEEE Transactions on, vol.5, issue.3, pp.405-418, 2005.

G. Saggese, N. Wang, Z. Kalbarczyk, S. Patel, and R. Iyer, An Experimental Study of Soft Errors in Microprocessors, IEEE Micro, vol.25, issue.6, pp.30-39, 2005.
DOI : 10.1109/MM.2005.104

P. Dodd and L. Massengill, Basic mechanisms and modeling of single-event upset in digital microelectronics, IEEE Transactions on Nuclear Science, vol.50, issue.3, pp.583-602, 2003.
DOI : 10.1109/TNS.2003.813129

P. Shivakumar, M. Kistler, S. Keckler, D. Burger, and L. Alvisi, Modeling the effect of technology trends on the soft error rate of combinational logic, Proceedings International Conference on Dependable Systems and Networks, pp.389-398, 2002.
DOI : 10.1109/DSN.2002.1028924

B. Narasimham, B. Bhuva, R. Schrimpf, L. Massengill, M. Gadlage et al., Characterization of Digital Single Event Transient Pulse-Widths in 130-nm and 90-nm CMOS Technologies, IEEE Transactions on Nuclear Science, vol.54, issue.6, pp.2506-2511, 2007.
DOI : 10.1109/TNS.2007.910125

D. Rossi, M. Omana, F. Toma, and C. Metra, Multiple transient faults in logic: an issue for next generation ICs?, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05), pp.352-360, 2005.
DOI : 10.1109/DFTVS.2005.47

N. George and J. Lach, Characterization of logical masking and error propagation in combinational circuits and effects on system vulnerability, 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN), pp.323-334, 2011.
DOI : 10.1109/DSN.2011.5958246

I. Polian and J. Hayes, Selective Hardening: Toward Cost-Effective Error Tolerance, IEEE Design & Test of Computers, vol.28, issue.3, pp.54-63, 2011.
DOI : 10.1109/MDT.2010.120

L. A. De, B. Naviner, J. Naviner, T. Ban, and G. S. Gutemberg, Reliability analysis based on significance, Argentine School of Micro-Nanoelectronics Technology and Applications (EAMTA), pp.1-7, 2011.

M. De-vasconcelos, D. Franco, L. De, B. Naviner, and J. Naviner, Reliability analysis of combinational circuits based on a probabilistic binomial model, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference, pp.310-313, 2008.
DOI : 10.1109/NEWCAS.2008.4606383

I. Polian, S. Reddy, and B. Becker, Scalable Calculation of Logical Masking Effects for Selective Hardening Against Soft Errors, 2008 IEEE Computer Society Annual Symposium on VLSI, pp.257-262, 2008.
DOI : 10.1109/ISVLSI.2008.22

D. T. Franco, M. C. Vasconcelos, L. Naviner, and J. Naviner, Signal probability for reliability evaluation of logic circuits, Microelectronics Reliability, vol.48, issue.8-9, pp.1586-1591, 2008.
DOI : 10.1016/j.microrel.2008.07.002

K. N. Patel, I. L. Markov, and J. P. Hayes, Evaluating circuit reliability under probabilistic gate-level fault models, International Workshop on Logic Synthesis (IWLS, pp.59-64, 2003.

S. Krishnaswamy, G. Viamontes, I. Markov, and J. Hayes, Accurate Reliability Evaluation and Enhancement via Probabilistic Transfer Matrices, Design, Automation and Test in Europe, pp.282-287
DOI : 10.1109/DATE.2005.47

URL : https://hal.archives-ouvertes.fr/hal-00181530

F. Brglez and H. Fujiwara, A neutral netlist of 10 combinational benchmark circuits and a target translator in fortran, Proceedings of the International Symposium on Circuits and Systems, pp.663-698, 1985.

Q. Zhou and K. Mohanram, Cost-effective radiation hardening technique for combinational logic, Computer Aided Design IEEE/ACM International Conference on, nov, pp.100-106, 2004.