S. Microelectronics, STM32L151xx and STM32L152xx advanced ARMbased 32-bit MCUs. Reference Manual RM0038, 2011.

H. Aydin, P. Mejía-alvarez, D. Mossé, and R. Melhem, Dynamic and aggressive scheduling techniques for power-aware real-time systems, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420), p.95, 2001.
DOI : 10.1109/REAL.2001.990600

S. K. Baruah, N. K. Cohen, C. G. Plaxton, and D. A. Varvel, Proportionate progress, Proceedings of the twenty-fifth annual ACM symposium on Theory of computing , STOC '93, pp.345-354, 1993.
DOI : 10.1145/167088.167194

M. Bhatti, M. Farooq, C. Belleudy, and M. Auguin, Controlling energy profile of rt multiprocessor systems by anticipating workload at runtime, SYMPosium en Architectures nouvelles de machines, 2009.

E. Bini and G. C. Buttazzo, Biasing effects in schedulability measures, Proceedings. 16th Euromicro Conference on Real-Time Systems, 2004. ECRTS 2004.
DOI : 10.1109/EMRTS.2004.1311021

J. Chen, H. Hsu, and T. Kuo, Leakage-aware energy-efficient scheduling of real-time tasks in multiprocessor systems, Proceedings of the 12th IEEE Real-Time and Embedded Technology and Applications Symposium, pp.408-417, 2006.

J. Chen and C. Kuo, Energy-Efficient Scheduling for Real-Time Systems on Dynamic Voltage Scaling (DVS) Platforms, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), pp.28-38, 2007.
DOI : 10.1109/RTCSA.2007.37

J. Chen and T. Kuo, Procrastination for leakage-aware ratemonotonic scheduling on a dynamic voltage scaling processor, Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems, pp.153-162, 2006.

H. Huang, F. Xia, J. Wang, S. Lei, and G. Wu, Leakage-Aware Reallocation for Periodic Real-Time Tasks on Multicore Processors, 2010 Fifth International Conference on Frontier of Computer Science and Technology, pp.85-91, 2010.
DOI : 10.1109/FCST.2010.105

R. Jejurikar, C. Pereira, and R. Gupta, Leakage aware dynamic voltage scaling for real-time embedded systems, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.275-280, 2004.
DOI : 10.1145/996566.996650

E. , L. Sueur, and G. Heiser, Dynamic voltage and frequency scaling: The laws of diminishing returns, Proceedings of the 2010 Workshop on Power Aware Computing and Systems (HotPower'10), pp.1-8, 2010.

Y. Lee, K. Reddy, and C. Krishna, Scheduling techniques for reducing leakage power in hard real-time systems, Proc. of the 15th Euromicro Conf. on Real-Time Systems, pp.105-112, 2003.

M. Lemerre, V. David, C. Aussagù, and G. Vidal-naquet, Equivalence between Schedule Representations: Theory and Applications, 2008 IEEE Real-Time and Embedded Technology and Applications Symposium, pp.237-247, 2008.
DOI : 10.1109/RTAS.2008.17

URL : https://hal.archives-ouvertes.fr/hal-00292628

T. Megel, R. Sirdey, and V. David, Minimizing Task Preemptions and Migrations in Multiprocessor Optimal Real-Time Schedules, 2010 31st IEEE Real-Time Systems Symposium, pp.37-46, 2010.
DOI : 10.1109/RTSS.2010.22

G. Nelissen, V. Berten, J. Goossens, and D. Milojevic, Reducing Preemptions and Migrations in Real-Time Multiprocessor Scheduling Algorithms by Releasing the Fairness, 2011 IEEE 17th International Conference on Embedded and Real-Time Computing Systems and Applications, pp.15-24, 2011.
DOI : 10.1109/RTCSA.2011.57

P. Regnier, G. Lima, E. Massa, G. Levin, and S. Brandt, RUN: Optimal Multiprocessor Real-Time Scheduling via Reduction to Uniprocessor, 2011 IEEE 32nd Real-Time Systems Symposium, pp.104-115, 2011.
DOI : 10.1109/RTSS.2011.17

E. Seo, J. Jeong, S. Park, and J. Lee, Energy efficient scheduling of real-time tasks on multicore processors, IEEE Trans. Parallel Distrib. Syst, vol.19, issue.11, pp.1540-1552, 2008.

H. Wei, Y. Chao, S. Lin, K. Lin, and W. Shih, Current Results on EDZL Scheduling for Multiprocessor Real-Time Systems, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), pp.120-130, 2007.
DOI : 10.1109/RTCSA.2007.34

F. Yao, A. Demers, and S. Shenker, A scheduling model for reduced CPU energy, Proceedings of IEEE 36th Annual Foundations of Computer Science, p.374, 1995.
DOI : 10.1109/SFCS.1995.492493

Y. Zhu and F. Mueller, Dvsleak: combining leakage reduction and voltage scaling in feedback edf scheduling, Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, pp.31-40, 2007.